Pages

Jumat, 24 Desember 2010

SEVEN SEGMENT DISPLAY

Seven Segmen Display merupakan sebuah rangkaian komponen logika digital yang dapat menampilkan sebuah karakter berupa angka-angka maupun huruf sehingga dapat dimengerti oleh manusia. Seven segmen display terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) membentuk sebuah karakter yang diinginkan dengan menyalanya LED sebagai penanda.


Masing-masing dioda tersebut membutuhkan sinyal input sebagai pengendali output yang dihasilkan. Terdapat 2(dua) type dari seven segmen display yakni, Common Anoda akan mengaktifkan tiap dioda ketika menerima sinyal input bernilai low dan Common Katoda akan mengaktifkan tiap dioda ketika menerima sinyal input bernilai high.
Cara untuk dapat menghasilkan sinyal-sinyal pengendali dari seven segmen display dengan menggunakan seven segmen decoder atau berupa sandi Binary Code Decimal (BCD) yang membutuhkan 4(empat) inputan dengan angka berbasis heksadesimal (bahasa mesin) yang kemudian sinyal-sinyal inputan tersebut akan diterjemahkan ke dalam 7(tujuh) sinyal yang setiap sinyalnya mengatur aktif atau tidaknya LED.
Program 7 Segment yang berkaitan tentang VHDL dan FPGA

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity sevseg is
Port (
clk : in std_logic;
rst  : in  std_logic;    
val : in std_logic_vector (15 downto 0);
seg0 : in  std_logic_vector (3 downto 0); 
seg1 : in  std_logic_vector (3 downto 0);
seg2 : in  std_logic_vector (3 downto 0); 
seg3 : in  std_logic_vector (3 downto 0);
in  std_logic_vector (3 downto 0); 
wendp : in  std_logic_vector 
wenseg  : in  std_logic_vector (3 downto 0); 
useseg : in  std_logic; 
anout : out std_logic_vector (3 downto 0);
ctout : out std_logic_vector (7 downto 0)); 
end sevseg;